FPGA数字信号处理设计培训班(TSFX0901)
  • 课程背景

    当今,DSP领域的设计工程师都面临着一些非常现实和严峻的问题,那就是“他们所处的环境变化十分迅速,标准快速的演进并且不断地融合,上市的周期也越来越短,设计在经济和技术上的约束增多”。于此同时,随着半导体及集成电路技术的飞速发展,针对DSP优化的高性能FPGA变成这些问题的良好的解决方案,在那些始终处于连续的变化之中的市场需求,诸如通信、多媒体和国防行业等领域,FPGA扮演着比传统数字信号处理器更加重要的角色,他们一起共同开拓着数字信号处理的广阔市场。针对FPGA在数字信号处理领域的广泛应用,我们设计了这个为期四天的基于FPGA的数字信号处理培训班。

  • 课程说明

    本课程分为两个专题,分别针对Altera和Xilinx的数字信号处理解决方案进行培训。分别针对Altera/Xilinx FPGA的DSP 解决方案,围绕数字信号处理原理,系统算法结构及RTL仿真,Matlab及Simulink,DSP Builder/System Generator 工具箱,软硬件协同调试等内容展开培训,通过通信、多媒体等领域的案例对FPGA的算法实现进行深入分析。

  • 课程目标

    1.熟悉数字信号处理的FPGA解决方案及设计流程

    2.掌握常见算术运算的FPGA实现方法

    3.掌握常用数字信号处理算法的FPGA实现方法

    4.熟悉MATLAB基本操作方法

    5.掌握MATLAB语言及M文件语法

    6.掌握Simulink建模方法

    7.熟悉Altera/Xilinx数字信号处理IP

    8.掌握DSP Builder/System Generator设计工具设计方法

    9.掌握FPGA的Simulink建模方法

    10.掌握软硬件协同仿真方法

    11.掌握相关设计工具的使用及协同方法

    12.了解通信、图像、语音等领域的常见应用及典型案例

  • 教学平台

    ◆ 【Altera FPGA开发板】红色飓风3代Altera CycloneII开发板

    ◆ 【Xilinx FPGA开发板】红色飓风3代Xilinx Spartan3AN开发板

    >>>点击查看两款教学平台照片及详细介绍>>>

  • 师资团队

    韦老师

    华清远见高级讲师,6年FPGA开发经验,熟悉Altera及Xilinx公司CPLD及FPGA体系架构及开发,独自设计过基于多款Altera及Xilinx可编程逻辑器件的高速数据采集及处理系统,包括原理图设计、PCB布线、裸板调试、系统调试等,对基于FPGA的PCI总线设计有深入研究。 [韦老师授课视频]

    姚老师

    北京航空航天大学自动化专业博士学位,有8年的FPGA和DSP系统硬件开发经验,成功开发了卫星载超高速图像压缩系统、红外图像采集压缩和传输系统、基于MPEG4/H.264的网络监控系统、高速(1GHz)并行(4颗)DSP图像处理系统, 超大规模高速500万门FPGA验证平台(Altera StratixII EP2S180)。[姚老师授课视频]

    葛老师

    华清远见高级讲师,美国FIU计算机硕士。具有多年硬件开发工作和集成电路人才培训经验。精通 FPGA代码的编写、仿真代码的编写;熟悉Quartus II、ISE、ModelSim、 Synplify等设计仿真综合工具;熟悉基于FPGA的UART、VGA接口电路设计,有良好的模块设计风格和代码风格,擅长基于Xilinx Spartan 3、Virtex4,Altera Cyclone II、 Stratix II系列的 FPGA,以及基于FPGA SOPC系统设计,曾负责完成某航天测控网关设计、JPEG解码器IP设计系统等项目。

    陆老师

    华清远见高级讲师,美国FIU计算机硕士。具有多年硬件开发工作和集成电路人才培训经验。精通 FPGA代码的编写、仿真代码的编写;熟悉Quartus II、ISE、ModelSim、 Synplify等设计仿真综合工具;熟悉基于FPGA的UART、VGA接口电路设计,有良好的模块设计风格和代码风格,擅长基于Xilinx Spartan 3、Virtex4,Altera Cyclone II、 Stratix II系列的 FPGA,以及基于FPGA SOPC系统设计,曾负责完成某航天测控网关设计、JPEG解码器IP设计系统等项目。[陆老师授课视频]

    宋老师

    华清远见高级讲师,6年FPGA/DSP开发经验,熟悉主流厂商的器件、架构及工具链,熟悉FPGA设计全流程,包括分析设计需求与选型,RTL逻辑设计与综合,仿真验证,Floorplan优化,STA分析与收敛,实时在线调试等,在通信、视频和工业控制等领域项目实践经验丰富。

  • 教材

    ◆ 《华清远见-FPGA数字信号处理班讲义》

    ◆ 《华清远见-FPGA数字信号处理班实验手册》

    ◆ 《FPGA应用开发入门与典型实例(修订版)》电子版 华清远见教材编写组 编著

  • 实验环境

    为了保证培训效果,增加互动环节,我们坚持小班授课,每期报名人数限15人,多余人员安排到下一期进行。人手一套开发板和开发用的PC主机。    >>单击查看实验设备

  • 上课时间地点

    本课程每期班限额15名,报满即停止报名,请提前在线报名点击这里或电话预约。

    ◆ 时间:根据报名人数确定,需提前预约。

    ◆ 地点:北京、上海、深圳、成都、南京、武汉、西安、广州、沈阳、济南、重庆

    华清远见保留开课时间调整的权利,欢迎来电咨询 400-611-6270

  • 学时费用

    ◆课时:共4天,每天6学时,总计24学时

    ◆费用(含教材费和午餐费):公司(3500元),个人自费(2900元),学生自费(2500元,凭有效证件)

    ◆认证费(可选):工业和信息化部《国家信息技术应用技能FPGA数字信号处理工程师认证证书》(认证费500元)

    ◆外地学员:代理安排食宿(需提前预定)

  • 最新优惠

    ◆团体报名优惠措施:两人95折优惠,三人或三人以上9折优惠!

    ◆同时报选《FPGA数字信号处理设计班》,即享受500元优惠!

    ◆同时报选《FPGA项目案例培训班》,即享受500元优惠!

  • 质量保证

    1、培训过程中,如有部分内容理解不透或消化不好,可免费在下期培训班中重听;

    2、培训结束后免费提供一个月的技术支持,充分保证培训后出效果;

    3、培训合格学员可享受免费推荐就业机会。

  • 课程大纲
    时间 课程大纲
    第一天
    学习目标    第一天的课程首先介绍数字信号处理的基本概念,重点讲述常用数字信号处理模块的FPGA实现,通过硬件描述语言对这些模块的描述和验证,帮助学员加深对算法硬件实现的认识。通过对Matlab和Simulink使用方法的介绍,帮助学员掌握最重要的数字信号处理工具的使用方法。
    9:00
    |
    12:00

    1. 数字信号处理的FPGA解决方案及设计流程
       本节将介绍利用FPGA实现数字信号处理的优势,对比常规数字信号处理设计流程和基于FPGA的数字信号处理设计流程的异同点,使学员了解Altera的数字信号处理解决方案及设计流程。
       本节要点:
       1.1 基于FPGA的数字信号处理的优势
       1.2 数字信号处理设计流程
       1.3 基于FPGA的解决方案及设计流程
       1.4 基于DSP Builder的设计流程
    2. 常见算术运算的FPGA实现
       本节将介绍FPGA中数的表示方法,帮助学员理解定点数和浮点数的表示方法,掌握基本的算术运算的FPGA实现。
       本节要点:
       2.1 数的表示,定点数及浮点数
       2.2 常见算术运算的FPGA实现(加/减/乘/除)
       2.3 CORDIC算法
    3. 数字信号处理基础及其FPGA实现
       本节将重点介绍几种常用的数字信号处理方法,并给出其硬件语言描述方法和Altera IP核实现方法,为使用FPGA进行更复杂的数字信号处理打下基础。
       本节要点:
       3.1 NCO的FPGA实现
       3.2 FFT的FPGA实现
       3.3 FIR的FPGA实现
       3.4 CIC的FPGA实现

    13:00
    |
    16:00

    1. 常见算术运算的FPGA实现及仿真训练
       本节通过学员动手及进行实验,掌握常见的算术运算的FPGA实现方法,熟悉QuartusII,Synplify,Modelsim等常用工具的使用方法,为课程的进一步学习打下基础。
       本节要点
       1.1 QuartusII/Synplify/Modelsim工具使用
       1.2 常见算术运算的Verilog实现与仿真
    2. 典型数字信号处理算法实现及仿真训练
       本节由学员动手完成几个典型数字信号处理算法实验,掌握在QuartusII中生成及例化IP的方法,掌握利用Modelsim进行IP核仿真的方法。
       本节要点:
       2.1 DSP Builder使用方法
       2.2 Modelsim仿真库编译方法
       2.3 典型数字信号处理算法的实现与仿真

    第二天
    学习目标    第二天的课程将对Matlab和Simulink的使用方法进行介绍,对MATLAB基本操作,MATLAB语言,Simulink建模方法进行深入浅出的讲解,帮助学员掌握最重要的数字信号处理工具的使用方法
    9:00
    |
    12:00

    1. MATLAB基础
       本节为学员介绍MATLAB的基础知识,使学员了解MATLAB工具的强大功能,学会MATLAB界面操作及常用操作命令。
       本节要点:
       1.1 MATLAB概述,仿真原理概述
       1.2 MATLAB集成开发环境
       1.3 矩阵运算/线性方程组/绘图/文件操作命令
    2. MATLAB语言
       本节为学员介绍较为深层的MATLAB内容,包括脚本,函数,M语言语法,M程序调试等,使学员掌握这种高效的工程计算语言,为进行系统级的算法开发打下基础。
       本节要点:
       2.1 M文件:M脚本文件、M函数文件
       2.2 M程序语法:运算符及控制结构
       2.3 M程序的调用及调试
    3. Simulink基础
       本节为学员介绍使用Simulink来进行系统建模、仿真和分析的方法,并介绍Simulink 的部分软件工具包,使学员学会这种图形化建模的方法,同时为学员介绍Altera为Simulink扩展的DSP Builder工具箱。
       本节要点:
       3.1 Simulink图形化建模基本操作
       3.2 Simulink层次化设计方法
       3.3 Simulink典型软件工具箱

    13:00
    |
    16:00

    1. MATLAB基础实验
       本节由学员完成一系列有针对性的简单实验,使学员掌握MATLAB基本的界面环境操作方法及简单的MATLAB操作方法。
       本节要点:
       1.1 MATLAB工具的集成开发环境
       1.2 MATLAB工作区语法规则
       1.3 可视化命令编写方法
    2. MATLAB语言基础实验
       本节以M语言为主要实验对象,学员通过编写M文件及M函数,实现特定的功能。通过练习,学员将掌握使用MATLAB进行算法仿真的方法。
       本节要点:
       2.1 MATLAB M文件编辑器使用方法
       2.2 M文件及M函数实验
    3. Simulink建模实验
       本节以Simulink基础工具箱及几个典型的工具箱为实验基础,使学员在实践中掌握Simulink的图形化建模方法及集成开发工具的使用方法。
       本节要点:
       3.1 Simulink图形化建模基本实验
       3.2 Simulink层次化建模实验
       3.3 典型模块建模实验

    第三天
    学习目标    第三天的课程将重点介绍Altera FPGA专用数字信号处理单元结构及调用方法,为学员讲解主要的数字信号处理IP和DSP Builder设计要点,通过几个典型的实验使学员掌握Altera系统级算法设计工具的使用方法。
    9:00
    |
    12:00

    1. Altera数字信号处理IP
       本节将介绍Altera FPGA的DSP资源结构以及Altera提供的重要数字信号处理IP,通过学习学员将了解使用Altera FPGA进行数字信号处理的特殊资源。
       本节要点:
       1.1 Altera乘法器结构
       1.2 数字信号处理/多媒体信号处理/通信与网络处理IP
       1.3 常用IP设计方法
    2. DSP Builder工具箱
       本节对Altera的DSP Builder工具箱进行详细介绍,包括包含的IP模块,工具,参数,使用方法等,使学员了解此工具箱的结构,掌握其使用方法。
       本节要点:
       2.1 Altera Blockset/Altera Advanced Blockset
       2.2 DSP Builder工具箱的IP模块
       2.3 模块参数、系统仿真参数及硬件意义
       2.4 模块的使用方法
    3. DSP Builder建模方法及设计要点
       本节从系统层面上对使用DSP Builder工具进行数字信号处理建模时的设计要点,系统结构设计方法,层次化设计方法,设计工具间的接口方法以及软硬件协同仿真方法进行了介绍。
       本节要点:
       3.1 DSP Builder设计要点
       3.2 DSP Builder系统结构及层次化设计
       3.3 DSP Builder及SOPC Builder协同设计
       3.4 软硬件协同仿真

    13:00
    |
    16:00

    1. 建立第一个DSP Builder工程
       本节由学员动手完成一个简单的DSP Builder工程,学习模块调用方法,参数设置方法,完成仿真并在开发板上实现。
       本节要点:
       1.1 DSP Builder建模
       1.2 仿真参数及模块参数设置
       1.3 模型的仿真及硬件实现
       1.4 层次化建模方法
    2. DSP Builder的SOPC接口设计实验
       本节通过SOPC Builder来调用DSP Builder模块,学习嵌入式工具和算法工具之间的协同设计方法。
       本节要点:
       2.1 Avalon接口设计
       2.2 与SOPC Builder工具协同设计
    3. DSP Builder使用HDL模块实验
       本节由学员完成包含HDL Import模块的系统建模实验,学会在Simulink中添加自定义HDL功能模块的方法。
       本节要点:
       3.1 HDL Import建模方法
       3.2 系统建模仿真与实现
    4. DSP Builder硬件在环HIL实验
       本节是一个Hardware In the Loop的软硬件协同仿真实验,通过实验学员可以掌握DSP Builder算法模块在FPGA中实现并将输出利用Simulink工具进行仿真调试的方法。
       本节要点:
       4.1 HIL系统建模
       4.2 软硬件协同仿真方法

    第四天
    学习目标    第四天的课程将对数字信号处理的几个热门领域:通信、图像处理以及音频处理等进行介绍,分析这几个应用领域的典型数字信号处理算法,并使用系统建模工具进行几个典型算法的仿真与实验。
    9:00
    |
    12:00

    1. 通信处理系统
       本节以通信领域为背景,对通信系统及软件无线电的结构进行介绍,分析常见的通信收发信机中的常见Verilog算法实现。
       本节要点:
       1.1 通信系统及软件无线电
       1.2 通信处理工具箱
       1.3 常见应用:调制解调,信号编解码,同步设计
    2. 图像处理系统
       本节以图像处理领域为背景,对图像处理的MATLAB实现进行介绍,对Simulink的图像处理工具箱以及DSP Builder的相关模块进行介绍。
       本节要点:
       2.1 图像处理基础及常用操作
       2.2 图像处理工具箱
       2.3 常见应用:图像分析与增强,图像变换,图像复原,图像分割与边缘检测,图像编解码
    3. 语音处理系统
       本节以语言处理领域为背景,介绍语音处理的基本原理及利用MATLAB进行语音处理系统仿真的操作方法,对信号处理工具箱和DSP Builder中语音处理的相关模块进行介绍。
       本节要点:
       3.1 音频和语音处理基础及常用操作
       3.2 信号处理工具箱
       3.3 常见应用:音频编解码,语音识别,语音分析,语音合成

    13:00
    |
    16:00

    1. 调制解调的Verilog和Matlab实验
       本节和学员一起完成典型调制解调算法的Verilog及MATLAB设计与仿真,加深学员对Verilog语言及MATLAB的认识。
       本节要点:
       1.1 调制解调算法
       1.2 Verilog实现与仿真
       1.3 MATLAB实现与仿真
    2. 图像边缘检测HIL实验
       本节和学员一起完成图像边缘检测算法的实验,使用DSP Builder和开发板完成HIL软硬件协同仿真,加深学员对DSP Builder工具和FPGA实现的认识。
       本节要点:
       2.1 图像边缘检测算法
       2.2 DSP Builder建模
       2.3 HIL软硬件协同仿真
    3. 语音回声系统实验
       本节和学员一起完成一个语音回声系统实验,使学员从最感性的语音到基于FPGA的数字信号处理有一个完整的认识。
       3.1 语音回声系统结构
       3.2 DSP Builder建模
       3.3 HIL软硬件协同仿真